中文字幕在线一区二区在线,久久久精品免费观看国产,无码日日模日日碰夜夜爽,天堂av在线最新版在线,日韩美精品无码一本二本三本,麻豆精品三级国产国语,精品无码AⅤ片,国产区在线观看视频

      發光二極管走馬燈電路的設計與實現實驗(一)

      時間:2024-07-26 22:16:08 電子信息工程畢業論文 我要投稿
      • 相關推薦

      發光二極管走馬燈電路的設計與實現實驗(一)

      實驗程序:
       設計思路:首先用按鍵SW1來控制顯示模式。用狀態機來實現單點移動和幕布式移動。
      VHDL程序如下
      library ieee;
      use ieee.std_logic_1164.all;
      entity scan is
       port(clk,reset:in std_logic;
         light:out std_logic_vector(7 downto 0);
         a:in std_logic
        );
      end scan;
      architecture arch_scan of scan is
      TYPE state_type is(s0,s1,s2,s3,s4,s5,s6,s7);
      signal state:state_type;
      begin
      process(a,clk,reset)     ——————process使用嵌套
      begin
      if(reset='1') then light<="00000000";
      elsif(rising_edge(clk)) then
       if a='0' then      ——————‘a’代表BW1的值,控制顯示模式
        case state is    ——————實現單點移動
         when s0=>
           state<=s1;
           light<="10000000";
         when s1=>
           state<=s2;
           light<="01000000";
         when s2=>
           state<=s3;
           light<="00100000";
         when s3=>
           state<=s4;
           light<="00010000";
         when s4=>
           state<=s5;
           light<="00001000";
         when s5=>
           state<=s6;
           light<="00000100";
         when s6=>
           state<=s7;
           light<="00000010";
         when s7=>
              state<=s0;
            light<="00000001";
        end case;
        else
           case state is     ——————實現幕布式移動
        when s0=>
          state<=s1;
         light<="00011000";
        when s1=>
          state<=s2;
         light<="00111100";
        when s2=>
         state<=s3;
         light<="01111110";
        when s3=>
         state<=s4;
         light<="11111111";
        when s4=>
         state<=s5;
         light<="01111110";
        when s5=>
         state<=s6;
         light<="00111100";
        when s6=>
         state<=s7;
         light<="00011000";
        when s7=>
         state<=s0;
         light<="00000000"; 
        end case;
         end if;
      end if; 
      end process;
      end arch_scan;

      仿真波形如下:

      600)makesmallpic(this,600,1800);' src="file:///C:/DOCUME~1/ADMINI~1/LOCALS~1/Temp/ksohtml/wps_clip_image-0.png" width="662" height="244" />


      實驗總結
       仿照上個實驗的狀態機設計,自己寫出了以上的程序。雖然程序簡單,容易寫出,但由于語法不熟,在編寫的過程中走了很多的彎路也出現了較多的錯誤。還好,在老師的指導下,經過不段的調試,改正終于得出了正確的結果。
       最初,我由于不知道要用嵌套PROCESS,而在一個PROCESS中又加入倆個PROCESS。導致編譯不能通過。這是由于語法不清而犯的錯誤。
       還有就是在寫程序的時候沒有進行深入的思考。簡單的認為狀態機不能實現幕布式的移動(因為我認為狀態機的狀態不足以表達幕布式的各個狀態,其實是足夠的)而使用了兩個循環,這樣就把程序弄的很復雜。編寫的時候太草率了,應該進行細致的思考后再去編寫。
       最后的問題就是,整個編程的過程中,小錯誤總是不段。這里多個‘;’,那里少個字母的。這些小的錯誤雖然在編譯后能夠更正過來,但最好還是希望自己能在以后不要在出現類似的錯誤。
       經過這次實驗,存在的問題還是老問題——語法不清、不夠細心。語法的問題是由于沒有具體的學習過,又沒有在課下反復的聯系。要去系統的學習VHDL語言,在近期有點不切實際,還是要多加練習。在練習中熟練 VHDL的語法,而做的多了,也就能避免在細節問題上總是出錯。

      【發光二極管走馬燈電路的設計與實現實驗(一)】相關文章:

      錯誤檢測與糾正電路的設計與實現03-20

      ADPCM語音編解碼電路設計及FPGA實現03-18

      Boost電路的一種軟開關實現方法03-18

      AGC電路的設計03-07

      用Protel99SE實現脈沖電路的仿真03-18

      換體DMA高速數據采集電路的CPLD實現03-18

      一款開關同步檢波電路的設計03-07

      開關電源控制及觸發電路的設計(一)03-07

      IP協議設計實現—重裝模塊(一)03-07

      主站蜘蛛池模板: 亚洲精品一区网站在线观看 | 鄱阳县| 亚洲av午夜福利精品一区二区| 红杏性无码免费专区| 日本熟妇hd8ex视频| 合江县| 银川市| 亚洲国产欧美久久香综合| 蜜桃视频免费高清观看在线播放| 国产激情无码Av毛片久久| 国产一区二区三区免费看视频| 咸丰县| 博兴县| 桓台县| 手游| av无码电影一区二区三区| 鄂伦春自治旗| 巢湖市| 日日骚一区二区三区中文字幕| 鹤壁市| 衡水市| 亚洲先锋影院一区二区| 国产精品亚洲综合色区韩国| 午夜在线观看视频二区| 中文字幕亚洲好看有码| 好爽~又到高潮了毛片视频 | 国产强伦姧在线观看| 日韩精品人妻中文字幕有码| AV在线毛片| 操B小视频国产| 永久免费中文字幕av| 午夜国产丝袜美腿在线视频| 五月综合婷婷久久网站| 成年毛片18成年毛片| 精品偷拍一区二区视频| 国产免费一区二区视频| 日本国产在线一区二区| 亚洲狠狠婷婷综合久久久| 美女精品黄色淫秽片网站| 国产三级高清在线观看.| 亚洲精品中文字幕观看|